Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
О
Факультет:
КН
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2016
Тип роботи:
Звіт до лабораторної роботи
Предмет:
Моделювання комп ютерних систем

Частина тексту файла

Міністерство освіти і науки України Національний університет “Львівська політехніка” Кафедра ЕОМ ЗВІТ до лабораторної роботи №2 з курсу “ Моделювання комп’ютерних систем” Лабораторна робота №2 Тема: Розробка та моделювання декодера для семисегментного індикатора Мета роботи : вивчити методи опису поведінки об'єктів за допомогою архітектур та процесів, шляхи застосування оператора вибору case, навчитись моделювати поведінку об'єктів в САПР Active-HDL. Порядок виконання роботи: Створити новий проект в Active-HDL. Створити об'єкт декодера та описати на VHDL його поведінку. Скомпілювати створений об'єкт (меню Design \ Compile, або клавіша <F11>). У вікні Design Browser для встановлення верхнього рівня моделювання (Top Level) вибрати об'єкт-декодер. Ініціалізувати моделювання об'єкта (меню Simulation \ Initialize Simulation). Створити в проекті новий файл Waveform Viewer (меню File \ New \ Waveform). Вставити в вікно Waveform Viewer вхідний та вихідний сигнали декодера (меню Waveform \ Add Signals). Призначити вхідному сигналу декодера стимулятори (меню Waveform \ Stimulators). Запустити процес симуляції декодера (меню Simulation \ Run). Вивчити отримані часові діаграми роботи декодера. Змінюючи стимулятори на вхідних портах декодера, перевірити коректність його роботи для всіх можливих значень на вході. Підготувати звіт до захисту. VHDL - код програми: library IEEE; use IEEE.STD_LOGIC_1164.all; entity Decoder is port( X : in STD_LOGIC_VECTOR(3 downto 0); Y : out STD_LOGIC_VECTOR(6 downto 0) ); end Decoder; --}} End of automatically maintained section architecture Decoder of Decoder is begin Show:process(X) --variable iNum:integer:=Conv_Integer(X); begin case X is when "0000" => Y<="1110111"; when "0001" => Y<="0100100"; when "0010" => Y<="1011101"; when "0011" => Y<="1101101"; when "0100" => Y<="0101110"; when "0101" => Y<="1101011"; when "0110" => Y<="1111011"; when "0111" => Y<="0100101"; when "1000" => Y<="1111111"; when "1001" => Y<="1101111"; when others => Y<="0000000"; end case; end process Show; -- enter your statements here -- end Decoder; Часова діаграма: / Висновок: під час виконання даної лабораторної роботи я вивчив методи опису поведінки об'єктів за допомогою архітектур та процесів, шляхи застосував оператор вибору case, навчився моделювати поведінку об'єктів в САПР Active-HDL.
Антиботан аватар за замовчуванням

05.04.2016 12:04

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини